收藏 分享(赏)

2023年正负脉宽数控调制信号发生器的设计.doc

上传人:13****k 文档编号:472165 上传时间:2023-04-02 格式:DOC 页数:6 大小:400.50KB
下载 相关 举报
2023年正负脉宽数控调制信号发生器的设计.doc_第1页
第1页 / 共6页
2023年正负脉宽数控调制信号发生器的设计.doc_第2页
第2页 / 共6页
2023年正负脉宽数控调制信号发生器的设计.doc_第3页
第3页 / 共6页
2023年正负脉宽数控调制信号发生器的设计.doc_第4页
第4页 / 共6页
2023年正负脉宽数控调制信号发生器的设计.doc_第5页
第5页 / 共6页
2023年正负脉宽数控调制信号发生器的设计.doc_第6页
第6页 / 共6页
亲,该文档总共6页,全部预览完了,如果喜欢就下载吧!
资源描述

1、实验三:正负脉宽数控调制信号发生器的设计1.实验目的1学习isEXPERT/MAX+plus /Foudation Series软件的根本使用方法。2学习GW48-CK EDA实验开发系统的根本使用方法。3学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用。2.实验内容设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相同的可自由加载加法计数器LCNT8组成的,它的输出信号的高/低电平脉宽可以分别由两组8位预置数进行控制。用GW48_CK EDA实验开发系统拟采用的实验芯片的型号为isPLSI1032E OLCC_84进行硬件验证。3.实验条件1画出系统的原理框架

2、图,说明系统中各主要局部的功能。2编写各个VHDL源程序。3根据选用的软件编好用于系统仿真的测试文件。4根据选用的软件及EDA实验开发装置编好用于硬件验证的管脚锁定文件。5记录系统仿真、硬件验证结果。6记录式样过程中出现的问题、解决方法及本卷须知。4.实验设计1系统原理图框架脉宽数控调制信号发生器逻辑图2VHDL源程序源程序pulse.vhdlibrary ieee;use ieee.std_logic_1164.all;entity lcnt8 isport(clk,ld:in std_logic;d:in integer range 0 to 255;cao:out std_logic);

3、end entity lcnt8;architecture art of lcnt8 issignal count:integer range 0 to 255;beginprocess(clk) isbeginif clkevent and clk=1 thenif ld=1 then count=d;else count=count+1;end if;end if;end process;process(clk,count) isbeginif clkevent and clk=1 thenif count=255 then cao=1;else caoclk,ld=ld1,d=a,cao

4、=cao1);u2:lcnt8 port map(clk=clk,ld=ld2,d=b,cao=cao2);process(cao1,cao2) isbeginif cao1=1 then psint=0;elsif cao2event and cao2=1then psint=1;end if;end process;ld1=not psint;ld2=psint;psoutpsout);0,0,0,0,1,0,0,0,1,0,0,0,1,0,0,0,1-x;1,0,0,1,0,0,0,1,0,0,0,1,0,0,0,1,0-x;0,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1

5、,1-x;1,0,1,0,0,0,1,0,0,0,1,0,0,0,1,0,0-x;0,0,1,0,1,0,1,0,1,1,1,0,1,0,1,0,1-x;1,0,1,1,0,0,1,1,0,0,1,1,0,0,1,1,0-x;0,0,1,1,1,0,1,1,1,1,1,1,1,0,1,1,1-x;1,1,0,0,0,1,0,0,0,1,0,0,0,1,0,0,0-x;0,1,0,0,1,1,0,0,1,1,0,0,1,1,0,0,1-x;1,1,0,1,0,1,0,1,0,1,0,1,0,1,0,1,0-x;0,1,0,1,1,1,0,1,1,1,0,1,1,1,0,1,1-x;1,1,1,0

6、,0,1,1,0,0,1,1,0,0,1,1,0,0-x;0,1,1,0,1,1,1,0,1,1,1,0,1,1,1,0,1-x;1,1,1,1,0,1,1,1,0,1,1,1,0,1,1,1,0-x;0,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1-x4管脚锁定文件管脚锁定设计图管脚锁定文件/pulse.ppn/part: isPLSI1032E OLCC_84/fromat:pinnanme pintype lockclk in 1a7 in 17a6 in 18a5 in 70a4 in 16a3 in 71a2 in 43a1 in 2a0 in 42b7 in 30b6 in 29b5 in 27b4 in 62b3 in 58b2 in 61b1 in 44b0 in 84psout out 595.实验结果及总结1系统仿真情况系统功能仿真结果系统时序仿真结果2硬件验证情况3实验开发过程中出现的问题、解决的方法及本卷须知1本实验仿真结果以16进制显示。2系统仿真过程中,随着输入值设定的改变,lcnt8等一系列的数据也随着变化。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 求职简历 > 自荐信

copyright@ 2008-2023 wnwk.com网站版权所有

经营许可证编号:浙ICP备2024059924号-2